Next Article in Journal
Random-Profiles-Based 3D Face Recognition System
Next Article in Special Issue
Monocular SLAM for Autonomous Robots with Enhanced Features Initialization
Previous Article in Journal
A Wearable System for Gait Training in Subjects with Parkinson’s Disease
Previous Article in Special Issue
Infrared Sensor-Based Temperature Control for Domestic Induction Cooktops
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Survey on FPGA-Based Sensor Systems: Towards Intelligent and Reconfigurable Low-Power Sensors for Computer Vision, Control and Signal Processing

Department of Physics, System Engineering and Signal Theory, University of Alicante, San Vicente del Raspeig, Alicante 03690, Spain
*
Author to whom correspondence should be addressed.
Sensors 2014, 14(4), 6247-6278; https://doi.org/10.3390/s140406247
Submission received: 30 December 2013 / Revised: 20 March 2014 / Accepted: 21 March 2014 / Published: 31 March 2014
(This article belongs to the Special Issue State-of-the-Art Sensors Technology in Spain 2013)

Abstract

: The current trend in the evolution of sensor systems seeks ways to provide more accuracy and resolution, while at the same time decreasing the size and power consumption. The use of Field Programmable Gate Arrays (FPGAs) provides specific reprogrammable hardware technology that can be properly exploited to obtain a reconfigurable sensor system. This adaptation capability enables the implementation of complex applications using the partial reconfigurability at a very low-power consumption. For highly demanding tasks FPGAs have been favored due to the high efficiency provided by their architectural flexibility (parallelism, on-chip memory, etc.), reconfigurability and superb performance in the development of algorithms. FPGAs have improved the performance of sensor systems and have triggered a clear increase in their use in new fields of application. A new generation of smarter, reconfigurable and lower power consumption sensors is being developed in Spain based on FPGAs. In this paper, a review of these developments is presented, describing as well the FPGA technologies employed by the different research groups and providing an overview of future research within this field.

1. Introduction

Processing capabilities in sensor nodes are typically based on Digital Signal Processors (DSPs) or programmable microcontrollers. However, the use of Field Programmable Gate Arrays (FPGAs) provides specific hardware technology, which can also be reprogrammable thus providing a reconfigurable sensor system. The partial reconfiguration is the process of modifying only sections of the logic that is implemented in an FPGA. Thus, the corresponding circuit can be modified to adapt its functionality to perform different tasks. This adaptation capability allows the implementation of complex applications by using the partial reconfigurability with very low power consumption. This last feature also represents an important aspect when FPGAs are applied in sensor systems. Nowadays, the sensor systems are required to provide an increasing accuracy, resolution, and precision while decreasing the size and consumption. Additionally, FPGAs and their partial reconfigurability allow us to provide sensor systems with additional properties such as processing capabilities, interfaces, testing, configuration, etc. These sensors are typically referred to as smart sensors.

The current capabilities of FPGA architectures allow not only implementation of simple combinational and sequential circuits, but also the inclusion of high-level soft processors. The use of integrated processors holds many exceptional advantages for the designer, including customization, obsolescence mitigation, component and cost reduction and hardware acceleration. FPGA embedded processors use FPGA logic elements to build internal memory units, data and control busses, internal and external peripheral and memory controllers. Both ** and low redesign effort. For that end, dynamic reconfigurable devices such as FPGAs play an important role because they can add flexibility to the sensor node. In this context, an important approach developed in Spain is the Cookie platform [4,19,66,67], a modular device that has an innovative WSN node architecture. This modular platform is divided into four functional layers: communication, processing, power supply and sensing/actuating layer. The heart of this platform, the processing layer, includes a microcontroller and an FPGA device, giving more processing power and flexibility to the platform. This layer carries out the processing of all the information given by the sensors. On the one hand, the microcontroller usually deals with the communication control. On the other hand, the FPGA processes the signals coming from sensors. This platform has been tested in processing, power consumption, communication, and encryption with successful results [19,67].

Another relevant issue for WSNs is to compute the location of the mobile nodes connected to the network. For outdoors, location technologies such as GPS or Galileo can be used. However, for indoor environments, technologies based on RFID, image recognition or ultrasonic must be employed. In this context, in [68], a low cost ultrasonic-based location system for mobile nodes is presented using FPGA devices. This location system is employed to obtain the maximum reachable precision. On the one hand, an FPGA device is used to excite the ultrasonic transmitter, and on the other hand, another FPGA device is employed in the mobile node to identify the time difference between the obtained measurements.

2.4. Signal Processing

Embedded signal processing is another topic of interest in the use of FPGAs. Until the appearance of FPGAs in the electronic world, DSPs were the key devices for signal processing. Currently, for highly demanding tasks, FPGAs have superseded DSPs due to the high efficiency given by their architectural flexibility (parallelism, on-chip memory, etc.) [69], reconfigurability [70] and massive performance in the development of algorithms [71]. This subsection provides a brief explanation about the main Spanish approaches in the use of FPGAs for signal processing in sensor systems.

In most cases, FPGAs are used for the implementation of sensor data processing. In this context, in [14], the design of WSNs to get the data of a set of pulse oximeters is presented. In this paper, pulse and oxygen values are processed in the FPGA and the obtained values are sent in real time to the Database Server via a WSN. Another contribution to mention is the presented in [72], where some spike-based band-pass filters have been synthesized for FPGA devices.

Low-level processing of ultrasonic signals is another issue which is being implemented with FPGA devices in order to increase scan rate, precision, and reliability [15,20,73,74]. In this context, using Time-Of-Flight (TOF) measurements given by the transducers, some drawbacks such as cross-talk problems, specular reflection and echo discrimination can arise and generate errors in the distance computation. In order to solve these problems, multimode techniques such as Golay sequences [15] are employed. The implementation of this algorithm in an FPGA device permits adaptation to the distance of the reflector in the environment, simultaneous emissions and simultaneous reception in all transducers being able to discriminate the emitter of the echo.

2.5. Other Sensors

Data compression is a technique that often improves the data bandwidth requirement of any sensor system. FPGAs can be used to implement different kinds of data compression [7,75]. The design of a compression scheme depends, obviously, on the application. In [18,76] a compression scheme over an FPGA is described. The works presented in these papers can be applied to any multi-sensor that must send and receive data simultaneously from different independent sources. Parallelism properties of FPGAs schemes are exploited to implement different units in charge of each of the signals emitted. Sending more than a data source at the same time is the main idea of these papers. This idea was firstly developed by Hernandez et al. in [74], where an ultrasonic sensor is improved by sending data simultaneously. Using both, an FPGA and a DSP, the system is able to receive and process this data in real-time. FPGAs can also contribute to decide which kind of image compression fits better with a given image, as in [77], where the authors apply their compression selector in an FPGA embedded on a satellite. An FPGA is a device that really improves the behavior of parallel algorithms. There are also applications exploiting this parallelism like the FPGA-based web servers shown in [78].

Another application where an FPGA may help is in reconfigurable data acquisition systems [79]. Data acquisition systems are used in vast range of tasks. In [80], an FPGA is used as a thermal sensor to measure the behavior of ring oscillators over different voltages. This sensor measures whether a given device dissipates excessive power in relation to the input voltage. The program is implemented through a Microblaze microprocessor over a ** operations such as determining object features or region labeling. These tasks are again characterized by local data access, but more complex pixel operations. Finally, high-level vision tasks are more decision-oriented, such as object recognition, face recognition or scene recognition. These tasks involve non-local data access and non-deterministic and complex algorithms. The same task can often be referred as any of the three levels in the literature. However, in this paper the different works about vision and FPGA have been divided into these three categories following the next rules: if the primary purpose task is image enhancement, the task is categorized as low-level; the tasks that operate on the pixels to produce features in the image are mid-level tasks; and finally, decision-making stage is classified as a high-level task.

3.1. Low-Level Vision Tasks

FPGAs are ideal for image processing, particularly for low-level and mid-level tasks where parallelism is exploited [9]. Most of the works found in the literature related to computer vision and FPGAs describe a parallelism version of a classical sequential computer vision algorithm [9,10]. For a pipelined architecture, a different hardware block is built for each image processing operation. The block implementing the image processing operation passes its processed data to the next block, which performs a different operation. When the system is not synchronous, intermediate buffers between operations are required. These buffers handle the variations in the data flow. As stated before, building multiple copies of implemented operations and assigning different partitions of the image to each copy can exploit spatial parallelism. A full spatial parallelism can be achieved by building a processor for each pixel. In practice, high image resolution of modern cameras makes this unlikely.

Logical parallelism is the overall parallelism contained in a program, i.e., all the computations that may, according to the semantics of the programming language, be executed in parallel. The logical parallelism within an image processing operation fits into an implementation on the FPGA. This is where most of the image processing algorithms can significantly improve performance. To do so, inner loops are unrolled. Thus, operations are performed in parallel hardware instead of sequentially.

Figure 3 depicts a scheme of a low-level to mid-level vision task implemented over an FPGA. Parallel skills have effects on the construction of the vision system [9]. Implementing a pipelined architecture in an FPGA permits operating at the same frequency pixels are served. Given that power consumption is directly related to the clock frequency, a lower frequency implies a lower power demand by the system. The vision task described in Figure 3 is a typical FPGA approximation to an image processing task.

Normally, the image data goes serially, which fits perfectly in a hardware implementation, especially if it is possible to interface directly to the camera. Anyway, a block (represented in Figure 3 as an I/O interface directly connected to the camera) performs the communication with the camera to receive the flow of pixels from the sensor. This block is responsible for implementing the required protocol (I2C, Camera link, etc.) to communicate with the capture device, configure it and get the image stream. Once configured and initiated the transmission of data, the flow of pixels is driven into the basic image processing block (Point operations green block in Figure 3). This block represents a low-level vision task block. Point operations have widely used in terms of contrast enhancement, segmentation, color filtering, change detection, masking and many other applications. These operations contain the peculiarity that the output pixel depends only on the value of the input's pixel. Figure 4 depicts an example of this kind of module, where a simple contrast enhancement operation to the input image is performed. The constants a and b with two simple math operations over input pixel value provide a new luminance value. This value may exceed the range of representable values. Thus, the result must be clipped. In Figure 4, this clip** operation is performed over the output value. Operating with the input value may improve the performance in a parallel scheme because both, math operations and logic comparisons can be processed concurrently with two processors. The result of this module can be stored in some kind of device memory (DDR2 RAM in Figure 3). This last step is not strictly necessary. A buffer storage is required only for system synchronization.

Point operations are just the basic low-level vision tasks. Normally, from the enhanced image obtained by a point operation module, the computer vision system performs other low-level operations like an image average filter. Filters or blob tracking operations have in common that they need more information besides the value of the pixel being processed. To do so, providing with the necessary architecture to obtain such information (vector structures, intermediate buffers, etc.) is essential. Figure 5 shows some iterations of an image filter computed in an FPGA. On the left the input image is represented for each iteration. The red grid remarks the convolution mask employed to compute the central point in the correspondent iteration, whereas row buffers are depicted in a darker blue and green. Row buffers values are also shown in the right scheme of each iteration. The window mask buffers are represented in orange. Row buffers and window buffers are updated by iterating over the image stream. Parallelism is exploited thanks to these buffers. From window buffer, the simple average can be computed at each iteration. The outcome is a valid pixel value of the output filtered image.

One of the basic low-level vision tasks is an image convolution. This was also one of the first processing image issues to be implemented in an FPGA [6,33,85]. In this work, images provided by a high-resolution sensor were passed to the FPGA. Then, the program embedded on the FPGA applied a convolution with a mask over the image and afterwards transmitted that preprocessed image to a PC. Recently, this basic operation was used to obtain object's edges of an image provided by a spiking system [86]. A spike system also called Address-Event-Representation systems (AER) is a camera sensor that computes internally the movement of the objects in the scene. When a pixel changes its luminance, an event is generated and this is the information transmitted by the camera to the computer vision system. In this paper, Linares-Barranco et al. present two FPGA implementations of AER-based convolution processors. In [87] a design based of FPGA device is described, used in spiking systems for real time image processing. In this case, the AER device described is a synthetic AER retina emulator, used to simulate spiking retina behavior getting as video source a standard video composite source. This design has been synthesized into synchronous and asynchronous FPGA devices to compare their capabilities. Another project related to AER sensors that uses an FPGA is the described in [45]. In this project, the FPGA can perform five different functions: turn a sequence of frames into AER in real time; histogram AER events into sequences of frames in real time; remap addresses using lookup tables; capture and time-stamp events for offline analysis; and reproduce time-stamped sequences of events in real time. In [88] an FPGA is used to develop a real-time high-definition Bayer to RGB converter. Two image processing operations were parallelized in order to obtain this converter: bilinear interpolation and a new median filter scheme that does not require extra memory and is able to work in real time.

Motion estimation represents a highly descriptive visual cue that can be used for applications such as time interpolation of image sequences, video compression, segmentation from motion or tracking. Optical-flow algorithms have been widely employed for motion estimation using FPGAs [32,8991]. Different approaches to the subject include image block-matching, gradient constraints, phase conservation, and energy models. In [55] Botella et al. present a work developed over a ** a real-time FPGA-based controller. Therefore, the complexity has been reduced, while kee** a great degree of parallelism. Other works use the computational power of the Spartan III FPGAs to achieve vision and image processing tasks [88,121,122].

The Virtex series of FPGAs integrate features that include FIFO logic, DSP blocks, PCI-Express controllers, Ethernet MAC blocks, and high-speed transceivers. In addition to FPGA logic, the Virtex series include embedded fixed function hardware for commonly used functions such as multipliers, memories, serial transceivers and microprocessor cores. ** tool into an impressive solution for those system designs that require a very high level of accuracy, powerful computational capabilities and real parallel execution. From a technological perspective, the industry of FPGA devices has made great strides from simple FPGA chips for prototy** purposes only that included a few hundred logic cells and small blocks of memory to FPGAs with the 28 nm process technology. These last FPGAs include more than two million logic cells, several types of memories and peripheral interfaces. This is the case of the Virtex-7 FPGA devices family from **linx and the Stratix-V from Altera.

As described throughout the paper, research on FPGA-based sensor systems in Spain is well established. The capabilities of the new FPGAs allow providing the sensor systems with different functions such as self-diagnosis, signal processing, communications in a WSN, etc. Currently, the term smart sensor is employed to refer these sensor systems with some kind of “intelligence”. Furthermore, we have described interesting research related with these FPGA-based sensor systems. Within this research, we can mention several works like the implementation of real time signal processing from the obtained sensory information, the use of parallel architectures to process a great quantity of information, to implement and optimize sensor-based controllers in embedded systems, the use of WSNs to process sensory information using different FPGA-based network nodes, etc. Computer vision systems have specially been enhanced by the use of FPGAs. From low-level vision tasks like basic point pixel operations or simple filtering image processing, through mid-level tasks that compute visual features like image moments, until high-level vision tasks where the FPGA allows taking important decisions by processing an image, camera sensors have been considerably enhanced. Different works employ FPGA in sensor systems to implement parallel algorithms in order to process data in a low-power consumption device. These algorithms use FPGA architectures not only to implement simple combinational and sequential circuits, but also to include high-level operations in embedded systems. The optimal implementation of these algorithms using the capabilities of the new FPGAs will suppose an important research field in the near future.

Acknowledgments

The research leading to these results has received funding from the Spanish Government and European FEDER funds (DPI2012-32390), the Valencia Regional Government (PROMETEO/2013/085) and the University of Alicante (GRE12-17).

Author Contributions

Gabriel J. García, Carlos A. Jara and Jorge Pomares reviewed the state-of-the-art and wrote the initial version of the manuscript. Aiman Alabdo developed extensively and finished Section 4, and Gabriel J. García together with Lucas M. Poggi divided and wrote Section 5. Fernando Torres provided their suggestions and corrections during the preparation of this work. All authors contributed extensively to the final version.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Batlle, J. A. New FPGA/DSP-Based Parallel Architecture for Real-Time Image Processing. Real Time Imaging 2002, 8, 345–356. [Google Scholar]
  2. Díaz, J.; Ros, E.; Mota, S.; Carrillo, R.; Agis, R. Real Time Optical Flow Processing System. In Field Programmable Logic and Application; Becker, J., Platzner, M., Vernalde, S., Eds.; Springer-Verlag: Berlin, Germany, 2004; Volume 3203, pp. 617–626. [Google Scholar]
  3. Jimenez-Fernandez, A.; Jimenez-Moreno, G.; Linares-Barranco, A.; Dominguez-Morales, M.J.; Paz-Vicente, R.; Civit-Balcells, A. A Neuro-Inspired Spike-Based PID Motor Controller for Multi-Motor Robots with Low Cost FPGAs. Sensors 2012, 12, 3831–3856. [Google Scholar]
  4. Tu, Y.W.; Ho, M.T. Design and implementation of robust visual servoing control of an inverted pendulum with an FPGA-based image co-processor. Mechatronics 2011, 21, 1170–1182. [Google Scholar]
  5. Adelson, E.H.; Wang, J.Y.A.; Niyogi, S.A. Mid-level vision: New directions in vision and video. Proceedings of the IEEE International Conference Image Processing, Austin, TX, USA, 13–16 November 1994; Volume 2, pp. 21–25.
  6. Arias-Estrada, M.; Torres-Huitzil, C. Real-time field programmable gate array architecture for computer vision. J. Electron. Imaging 2001, 10, 289–296. [Google Scholar]
  7. Reaz, M.B.I.; Mohd-Yasin, F.; Tan, S.L.; Tan, H.Y.; Ibrahimy, M.I. Encryption of Compressed Images Employing FPGA. Proceedings of the IEEE International Symposium on Circuits and Systems, Kobe, Japan, 23–26 May 2005; pp. 2385–2388.
  8. Lu, X.; Song, L.; Shen, S.; He, K.; Yu, S.; Ling, N. Parallel Hough Transform-based straight line detection and its FPGA implementation in embedded vision. Sensors 2013, 13, 9223–9247. [Google Scholar]
  9. Dubois, J.; Ginhac, D.; Paindavoine, M.; Heyrman, B. A 10 000 fps CMOS sensor with massively parallel image processing. IEEE J. Solid State Circuits 2008, 43, 706–717. [Google Scholar]
  10. Reza, A.M. Realization of the Contrast Limited Adaptive Histogram Equalization (CLAHE) for real-time image enhancement. J. VLSI Signal Process. Syst. Signal Image Video Technol. 2004, 38, 35–44. [Google Scholar]
  11. De la Piedra, A.; Braeken, A.; Touhafi, A. Sensor Systems Based on FPGAs and Their Applications: A Survey. Sensors 2012, 12, 12235–12264. [Google Scholar]
  12. Portilla, J.; Castro, A.; Torre, E.; Riesgo, T. Modular Architecture for Wireless Sensor Network Nodes. J. Univers. Comput. Sci. 2006, 12, 328–339. [Google Scholar]
  13. Monmasson, E.; Cirstea, M.N. FPGA Design Methodology for Industrial Control Systems—A Review. IEEE Trans. Ind. Electron. 2007, 54, 1824–1842. [Google Scholar]
  14. Castillo, J.M.; Olivares, J.; Palomares, J.M. Design of a Wireless Pulse Oximeter using a Mesh ZigBee Sensor Network. Proceedings of the International Conference on Biomedical Electronics and Devices (Biodevices), Rome, Italy, 26–29 January 2011; pp. 401–404.
  15. Hernández, A.; Ureña, J.; Hernanz, D.; García, J.J.; Mazo, M.; Derutín, J.P.; Serot, J.; Palazuelos, S.E. Real-time implementation of an efficient Golay correlator (EGC) applied to ultrasonic sensorial systems. Microprocess. Microsyst. 2003, 27, 397–406. [Google Scholar]
  16. Rodriguez, C.; Morales, L.; Osornio, R.A.; Herrera, G.; Romero, R. FPGA-Based Fused Smart Sensor for Dynamic and Vibration Parameter Extraction in Industrial Robot Links. Sensors 2010, 10, 4114–4129. [Google Scholar]
  17. Albo-Canals, J.; Ortega, S.; Perdices, S.; Badalov, A.; Vilasis-Cardona, X. Embedded low-power low-cost Camera Sensor based on FPGA and its applications in mobile robots. Proceedings of the 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012), Sevilla, Spain, 9–12 December 2012; pp. 336–339.
  18. Álvarez, F.J.; Hernández, A.; Ureña, J.; Mazo, M.; García, J.J.; Jiménez, J.A.; Jiménez, A. Real-time implementation of an efficient correlator for complementary sets of four sequences applied to ultrasonic pulse compression systems. Microprocess. Microsyst. 2006, 30, 43–51. [Google Scholar]
  19. Peter, S.; Stecklina, O.; Portilla, J.; Torre, E.; Langendoerfer, P.; Riesgo, T. Reconfiguring Crypto Hardware Accelerators on Wireless Sensor Nodes. Proceedings of the 6th Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks Workshops, Rome, Italy, 22–26 June 2009.
  20. De Arcas, G.; Ruiz, M.; Gutierrez, R.; Villamayor, V. Design of an Intelligent Front-End Signal Conditioning Circuit for IR Sensors. IEEE Trans. Nucl. Sci. 2008, 55, 14–20. [Google Scholar]
  21. Sánchez-Solano, S.; Brox, M.; Toro, E.; Brox, P.; Baturone, I. Model-Based Design Methodology for Rapid Development of Fuzzy Controllers on FPGAs. IEEE Trans. Ind. Inform. 2013, 9, 1361–1370. [Google Scholar]
  22. Sulaiman, N.; Obaid, Z.A.; Marhaban, M.H.; Hamidon, M.N. FPGA-Based Fuzzy Logic: Design and Applications—A Review. Int. J. Eng. Technol. 2009, 1, 491–503. [Google Scholar]
  23. Gamazo-Real, J.C.; Vázquez-Sánchez, E.; Gómez-Gil, J. Position and speed control of brushless DC motors using sensorless techniques and application trends. Sensors 2010, 10, 6901–6947. [Google Scholar]
  24. Perez-Peña, F.; Morgado-Estevez, A.; Linares-Barranco, A.; Jiménez-Fernández, A.; Lopez-Coronado, J.; Muñoz-Lozano, J.L. A FPGA spike-based robot controlled with neuro-inspired VITE. In Advances in Computational Intelligence; Rojas, I., Joya, G., Gabestany, J., Eds.; Springer-Verlag: Berlin, Germany, 2013; Volume 7902, pp. 299–308. [Google Scholar]
  25. Gadea, R.; Cerdá, J.; Ballester, F.; Mocholí, A. Artificial Neural Network Implementation on a single FPGA of a Pipelined On-Line Backpropagation. Proceedings of the 13th International Symposium on System Synthesis, Madrid, Spain, 20–22 September 2000; pp. 225–230.
  26. Ortigosa, E.M.; Cañas, A.; Ros, E.; Carrillo, R.R. FPGA Implementation of a Perceptron-Like Neural Network for Embedded Applications. In Artificial Neural Nets Problem Solving Methods; Mira, J., Álvarez, J.R., Eds.; Springer-Verlag: Berlin, Germany, 2011; Volume 2687, pp. 1–8. [Google Scholar]
  27. Rosado, A.; Bataller, M.; Guerrero, J. FPGA implementation of Spiking Neural Network. Proceedings of the 1st IFAC Conference on Embedded Systems, Computational Intelligence and Telematics in Control, Wurzburg, Germany, 3–5 April 2012; pp. 139–144.
  28. Muthuramalingam, A.; Himavathi, S.; Srinivasan, E. Neural Network Implementation Using FPGA: Issues and Application. Int. J. Inform. Technol. 2008, 4, 86–92. [Google Scholar]
  29. Calmon, F.; Fathallah, M.; Viverge, P.J.; Gontrand, C.; Carrabina, J.; Foussier, P. FPGA and Mixed FPGA-DSP Implementations of Electrical Drive Algorithms. In Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream; Glesner, M., Zipf, P., Renovell, M., Eds.; Springer-Verlag: Berlin, Germany, 2002; Volume 2438, pp. 1144–1147. [Google Scholar]
  30. Sanchez, P.M.; Machado, O.; Bueno-Peña, E.J.; Rodríguez, F.J.; Meca, F.J. FPGA-Based Implementation of a Predictive Current Controller for Power Converters. IEEE Trans. Ind. Inform. 2013, 9, 1312–1321. [Google Scholar]
  31. MacCleery, B.; Kassas, Z.M. New mechatronics development techniques for FPGA-based control and simulation of electromechanical systems. Proceedings of the 17th IFAC World Congress, Seoul, Korea, 6–11 July 2008.
  32. Díaz, J.; Ros, E.; Pelayo, F.; Ortigosa, E.M.; Mota, S. FPGA-Based Real-Time Optical-Flow System. IEEE Trans. Circuits Syst. Video Technol. 2006, 16, 274–279. [Google Scholar]
  33. Bravo, I.; Jimenéz, P.; Mazo, M.; Lázaro, J.L.; Martín, E. Architecture Based on FPGA's for Real-Time Image Processing. In Reconfigurable Computing: Architectures and Application; Bertels, K., Cardoso, J.M.P., Vassiliadis, S., Eds.; Springer-Verlag: Berlin, Germany, 2006; pp. 152–157. [Google Scholar]
  34. Jimenez-Fernandez, A.; Lujan-Martinez, C.; Paz-Vicente, R.; Linares-Barranco, A.; Jimenez, G.; Civit, A. From Vision Sensor to Actuators, Spike Based Robot Control through Address-Event-Representation. In Bio-Inspired Systems: Computational and Ambient Intelligence; Cabestany, J., Sandoval, F., Prieto, A., Corchado, J.M., Eds.; Springer-Verlag: Berlin, Germany, 2009; Volume 5517, pp. 797–804. [Google Scholar]
  35. Linares-Barranco, A.; Gomez-Rodriguez, F.; Jimenez-Fernandez, A.; Delbruck, T.; Lichtensteiner, P. Using FPGA for visuo-motor control with a silicon retina and a humanoid robot. Proceedings of the IEEE International Symposium on Circuits and Systems, New Orleans, LA, USA, 27–30 May 2007; pp. 1192–1195.
  36. Lentaris, G.; Diamantopoulos, D.; Stamoulias, G.; Siozios, K.; Soudris, D.; Rodrigalvarez, M.A. FPGA-based path-planning of high mobility rover for future planetary missions. Proceedings of the 19th IEEE International Conference on Electronics Circuits, and Systems, Sevilla, Spain, 9–12 December 2012; pp. 85–88.
  37. Lorenz, M.G.; Mengibar-Pozo, L.; Izquierdo-Gil, M.A. High resolution simultaneous dual liquid level measurement system with CMOS camera and FPGA hardware processor. Sens. Actuators A Phys. 2013, 201, 468–476. [Google Scholar]
  38. Marín, R.; León, G.; Wirz, R.; Sales, J.; Claver, J.M.; Sanz, P.J. Remote Control within the UJI Robotics Manufacturing Cell using FPGA-Based Vision. Proceedings of the European Control Conference, Kos, Greece, 2–5 July 2007.
  39. Marin, R.; León, G.; Wirz, R.; Sales, J.; Claver, J.M.; Sanz, P.J.; Fernández, J. Remote Programming of Network Robots Within the UJI Industrial Robotics Telelaboratory: FPGA Vision and SNRP Network Protocol. IEEE Trans. Ind. Electron. 2009, 56, 4806–4816. [Google Scholar]
  40. Yang, N.; Li, D.; Zhang, J.; **, Y. Model predictive controller design and implementation on FPGA with application to motor servo system. Control Eng. Pract. 2012, 20, 1229–1235. [Google Scholar]
  41. Alvarez, J.; Lago, A.; Nogueiras, A.; Martinez-Penalver, C.; Marcos, J.; Doval, J.; Lopez, O. FPGA implementation of a fuzzy controller for automobile DC-DC converters. In Proceedings of the IEEE, International Conference on Field Programmable Technology, Bangkok, Thailand, 13–15 December 2006; pp. 237–240.
  42. Alcantara, S.; Pedrett, C.; Vilanova, R.; Moreno, R. An undergraduate laboratory course on fuzzy controller implementation in FPGAs. Proceedings of the Mediterranean Conference on Control & Automation, MED'07, Athens, Greece, 27–29 July 2007; pp. 1–6.
  43. Kassas, Z.M. Methodologies for Implementing FPGA-Based Control Systems. Proceedings of the 18th IFAC World Congress, Milano, Italy, 28 August–2 September 2011.
  44. Zhu, J.; Sutton, P. FPGA Implementations of Neural Networks—A Survey of a Decade of Progress. In Field Programmable Logic and Application, Lecture Notes in Computer Science; Cheung, P.Y.K., Constantinides, G.A., de Sousa, J.T., Eds.; Springer-Verlag: Lisbon, Portugal, 2003; Volume 2778, pp. 1062–1066. [Google Scholar]
  45. Serrano-Gotarredona, R.; Oster, M.; Lichtsteiner, P.; Linares-Barranco, A.; Paz-Vicente, R.; Gomez-Rodriguez, F.; Linares-Barranco, B. CAVIAR: A 45k neuron, 5M synapse, 12G connects/s AER hardware sensory-processing- learning-actuating system for high-speed visual object recognition and tracking. IEEE Trans. Neural Netw. 2009, 20, 1417–1438. [Google Scholar]
  46. Moreno, S.V.; Vera, L.A.; Osornio, R.A.; Dominguez, A.; Stiharu, I.; Romero, R. A field programmable gate array-based reconfigurable smart-sensor network for wireless monitoring of new generation computer numerically controlled machines. Sensors 2010, 10, 7263–7286. [Google Scholar]
  47. Osuna, C.G.; Marcos, M.S.; Ituero, P.; Lopez-Vallejo, M. A monitoring infrastructure for FPGA self-awareness and dynamic adaptation. Proceedings of the 19th IEEE International Conference on Electronics, Circuits, and Systems, Sevilla, Spain, 9–12 December 2012; pp. 765–768.
  48. Lopez-Buedo, S.; Boemo, E. Making Visible the Thermal Behaviour of Embedded Microprocessors on FPGAs A Progress Report. Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, Monterrey, CA, USA, 22–24 February 2004; pp. 79–86.
  49. Lopez-Buedo, S.; Garrido, J.; Boemo, E.I. Dynamically inserting, operating, and eliminating thermal sensors of FPGA-based systems. IEEE Trans. Compon. Packag. Technol. 2002, 25, 561–566. [Google Scholar]
  50. Lopez-Buedo, S.; Riviere, P.; Pernas, P.; Boemo, E. Run-Time Reconfiguration to Check Temperature in Custom Computers: An Application of JBits Technology. In Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream; Glesner, M., Zipf, P., Renovell, M., Eds.; Springer-Verlag: Berlin, Germany, 2002; Volume 2438, pp. 162–170. [Google Scholar]
  51. Morales, D.P.; Garcia, A.; Palma, A.J.; Olmos, A.M.; Castillo, E. Exploiting Analog and Digital Reconfiguration for Smart Sensor Interfacing. Proceedings of the International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27–29 August 2007; pp. 706–709.
  52. Morales, D.P.; Garcia, A.; Palma, A.J.; Olmos, A.M. Merging FPGA and FPAA Reconfiguration Capabilities for IEEE 1451.4 Compliant Smart Sensor Applications. Proceedings of the 3rd Southern Conference on Programmable Logic, Mar del Plata, Argentina, 26–28 February 2007; pp. 217–220.
  53. Ares, L.; Rodríguez-andina, J.J.; Fariña, J. FPGA-Based Direct Resistance and Capacitance Measurements. Proceedings of the 35th IEEE Annual Conference in Industrial Electronics, IECON'09, Porto, Portugal, 3–5 November 2009; pp. 2837–2841.
  54. Poki, C.; Mon, S.; Zhi, Z.; Zi, Z.; Chun, C. A Fully Digital Time-Domain Smart Temperature Sensor Realized With 140 FPGA Logic Elements. IEEE Trans. Circuits Syst. 2007, 54, 2661–2668. [Google Scholar]
  55. Botella, G.; Martín, H.J.A.; Santos, M.; Meyer-Baese, U. FPGA-Based Multimodal Embedded Sensor System Integrating Low- and Mid-Level Vision. Sensors 2011, 11, 8164–8179. [Google Scholar]
  56. Oballe-peinado, Ó.; Hidalgo-lópez, J.A.; Sánchez-durán, J.A.; Castellanos-ramos, J.; Vidal-verdú, F. Architecture of a tactile sensor suite for artificial hands based on FPGAs. Proceedings of the 4th IEEE RAS/EMBS International Conference on Biomedical Robotics and Biomechatronics, Rome, Italy, 24–27 June 2012; pp. 12–117.
  57. Pantazis, N.; Vergados, D. A survey on power control issues in Wireless Sensor Networks. IEEE Commun. Surv. Tutor. 2007, 9, 86–107. [Google Scholar]
  58. Zhang, D.; Pan, Y.; Hu, X. Design of High-Speed Parallel Data Interface Based on ARM & FPGA. J. Comput. 2012, 7, 804–809. [Google Scholar]
  59. Berder, O.; Sentieys, O. PowWow: Power Optimized Hardware/Software Framework for Wireless Motes. Proceedings of the 23rd International Conference on Architecture of Computing Systems (ARCS), Hannover, Germany, 22–23 February 2010; pp. 1–5.
  60. Liao, J.; Singh, B.K.; Khalid, M.A.; Tepe, K.E. FPGA based wireless sensor node with customizable event-driven architecture. J. Embed. Syst. 2013, 5, 1–11. [Google Scholar]
  61. Durante, M.S.; Mahlknecht, S. An Ultra Low Power Wakeup Receiver for Wireless Sensor Nodes. Proceedings of the 3rd International Conference on Sensor Technologies and Applications, Athens, Greece, 18–23 June 2009; pp. 167–170.
  62. Magdaleno, E.; Rodríguez, M.; Ayala, A.J. VHDL Implementation of a communication interface for integrated MEMS. Microsyst. Technol. 2008, 14, 453–462. [Google Scholar]
  63. Rosello, V.; Portilla, J.; Riesgo, T. Ultra Low Power FPGA-Based Architecture for Wake-up Radio in Wireless Sensor Networks. Proceedings of the 37th Annual Conference on IEEE Industrial Electronics Society, Melbourne, Australia, 7–10 November 2011; pp. 3826–3831.
  64. Dondo, J.; Molina, F.S.; Rincon, F.; Moya, F.; Lopez, J.C. Ubiquitous FPGA Access for Data Intensive Computing. Proceedings of the International Symposium on Ubiquitous Computing and Ambient Intelligence, Riviera Maya, Mexico, 5–9 December 2011.
  65. Jaraíz-Simón, M.D.; Gómez-Pulido, J.A.; Vega-Rodríguez, M.A.; Sánchez-Pérez, J.M. Fast Decision Algorithms in Low-Power Embedded Processors for Quality-of-Service Based Connectivity of Mobile Sensors in Heterogeneous Wireless Sensor Networks. Sensors 2012, 12, 1612–1624. [Google Scholar]
  66. Portilla, J.; Castro, A.; Abril, A.; Riesgo, T. Integrated hardware interfaces for modular sensor networks. Proceedings of the SPIE 6590, VLSI Circuits and Systems III, Maspalomas, Spain, 10 May 2007.
  67. Valverde, J.; Otero, A.; Lopez, M.; Portilla, J.; de la Torre, E.; Riesgo, T. Using SRAM Based FPGAs for Power-Aware High Performance Wireless Sensor Networks. Sensors 2012, 12, 2667–2692. [Google Scholar]
  68. Sanchez, A.; Elvira, S.; Castro, A.; Glez-de-rivera, G.; Ribalda, R.; Garrido, J. Low Cost Indoor Ultrasonic Positioning Implemented in FPGA. Proceedings of the 35th Annual Conference of IEEE Industrial Electronics, Porto, Portugal, 3–5 November 2009; pp. 2709–2714.
  69. Atalik, T.; Deniz, M.; Koc, E.; Gercek, C.O.; Gultekin, B.; Ermis, M.; Cadirci, I. Multi-DSP and FPGA-based fully digital control system for cascaded multilevel converters used in FACTS applications. IEEE Trans. Ind. Inform. 2012, 8, 511–527. [Google Scholar]
  70. Boni, E.; Bassi, L.; Dallai, A.; Guidi, F.; Ramalli, A.; Ricci, S.; Housden, J.; Tortoli, P. A reconfigurable and programmable FPGA-based system for nonstandard ultrasound methods. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2012, 59, 1378–1385. [Google Scholar]
  71. Idkha**e, L.; Monmasson, E.; Maalouf, A. Fully FPGA-based sensorless control for synchronous AC drive using an extended Kalman filter. IEEE Trans. Ind. Electron. 2012, 59, 3908–3918. [Google Scholar]
  72. Domínguez-Morales, M.; Jimenez-Fernandez, A.; Cerezuela-Escudero, E.; Paz-Vicente, R.; Linares-Barranco, A.; Jimenez, G. On the Designing of Spikes Band-Pass Filters for FPGA. In Artificial Neural Networks and Machine Learning—ICANN 2011; Honkela, T., Duch, W., Girolami, M., Kaski, S., Eds.; Springer-Verlag: Berlin, Germany, 2011; Volume 6792, pp. 389–396. [Google Scholar]
  73. Hernández, A.; García, J.J.; Mazo, M.; Hernanz, D.; Derutín, J.P.; Sérot, J. Ultrasonic Ranging Sensor using Simultaneous Emissions from Different Transducers. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2004, 51, 1660–1670. [Google Scholar]
  74. Hernández, A.; Ureña, J.; García, J.J.; Mazo, M.; Derutín, J.P.; Sérot, J. Ultrasonic Sensor Performance Improvement Using DSP-FPGA Based Architectures. Proceedings of the IEEE 28th Annual Conference of the Industrial Electronics Society, Sevilla, Spain, 5–8 November 2002; Volume 4, pp. 2694–2699.
  75. Sklyarov, V. FPGA-based implementation of recursive algorithms. Microprocess. Microsyst. 2004, 28, 197–211. [Google Scholar]
  76. Perez, M.C.; Ureña, J.; Hernández, A.; de Marziani, C.; Jiménez, A. Hardware Implementation of an Efficient Correlator for Interleaved Complementary Sets of Sequences. J. Univers. Comput. Sci. 2007, 13, 388–406. [Google Scholar]
  77. Guzmán, A.; Beltrán, M. Satellite On-Board Image Compression Adviser. Proceedings of the 4th IEEE International Symposium on Signal Processing and Information Technology, Rome, Italy, 18–21 December 2004; pp. 296–301.
  78. Cuenca, S.; Grediaga, A.; Llorens, H.; Albero, M. Performance Evaluation of FPGA-Embedded Web Servers. Proceedings of the 14th IEEE International Conference on Electronics, Circuits and Systems, Marrakech, Morocco, 11–14 December 2007; pp. 1187–1190.
  79. Restelli, A.; Abbiati, R.; Geraci, A. Digital field programmable gate array-based lock-in amplifier for high-performance photon counting applications. Rev. Sci. Instrum. 2005. doi: org/10.1063/1.2008991. [Google Scholar]
  80. León-Franco, J.J.; Boemo, E.; Castillo, E.; Parrilla, L. Ring oscillators as thermal sensors in FPGAs: Experiments in low voltage. Proceedings of the VI Southern Programmable Logic Conference (SPL), Ipojuca, Brazil, 24–26 March 2010; pp. 133–137.
  81. Vidal-Verdú, F.; Oballe-Peinado, Ó.; Sánchez-Durán, J.A.; Castellanos-Ramos, J.; Navas-González, R. Three Realizations and Comparison of Hardware for Piezoresistive Tactile Sensors. Sensors 2011, 11, 3249–3266. [Google Scholar]
  82. Bouridane, A.; Crookes, D.; Donachy, P.; Alotaibi, K.; Benkrid, K. A high level FPGA-based abstract machine for image processing. J. Syst. Archit. 1999, 45, 809–824. [Google Scholar]
  83. Donachy, P.; Crookes, D.; Bouridane, A.; Alotaibi, K.; Benkrid, A. Design and implementation of a high level image processing machine using reconfigurable hardware. Proceedings of the SPIE 3526, Configurable Computing: Technology and Applications, Bellingham, WA, USA, 8 October 1998.
  84. Bailey, D.G. Design for Embedded Image Processing on FPGAs; John Wiley & Sons: Singapore, Singapore, 2011. [Google Scholar]
  85. Torres-Huitzil, C.; Arias-Estrada, M. FPGA-Based Configurable Systolic Architecture for Window-Based Image Processing. EURASIP J. Adv. Signal Process. 2005, 7, 1024–1034. [Google Scholar]
  86. Linares-Barranco, A.; Paz, R.; Gómez-Rodríguez, F.; Jiménez, A.; Rivas, M.; Jiménez, G.; Civit, A. FPGA Implementations Comparison of Neuro-cortical Inspired Convolution Processors for Spiking Systems. In Bio-Inspired Systems: Computational and Ambient Intelligence; Cabestany, J., Sandoval, F., Prieto, A., Corchado, J.M., Eds.; Springer-Verlag: Berlin, Germany, 2009; Volume 5517, pp. 97–105. [Google Scholar]
  87. Paz-Vicente, R.; Cerezuela-Escudero, E.; Dominguez-Morales, M.; Jimenez-Fernandez, A.; Jimenez-Moreno, G. A perfomance comparison study between synchronous and asynchronous FPGA for spike based systems. Proceedings of the International Symposium on Performance Evaluation of Computer & Telecommunication Systems, the Hague, The Netherlands, 27–30 June 2011; pp. 38–45.
  88. Pérez, J.M.; Sánchez, P.; Martínez, M. Low-Cost Bayer to RGB Bilinear Interpolation with Hardware-Aware Median Filter. Proceedings of the 16th IEEE International Conference on Electronics, Circuits, and Systems, Yasmine Hammamet, Tunisia, 13–16 December 2009; pp. 916–919.
  89. Pauwels, K.; Tomasi, M.; Diaz, J.; Ros, E.; van Hulle, M. A Comparison of FPGA and GPU for Real-Time Phase-Based Optical Flow, Stereo, and Local Image Features. IEEE Trans. Comput. 2012, 61, 999–1012. [Google Scholar]
  90. Gultekin, G.K.; Saranli, A. An FPGA based high performance optical flow hardware design for computer vision applications. Microprocess. Microsyst. 2013, 37, 270–286. [Google Scholar]
  91. Browne, T.A.; Condell, J.V.; Prasad, G.; McGinnity, T.M. An Investigation into Optical Flow Computation on FPGA Hardware. Proceedings of the IEEE International Machine Vision and Image Processing Conference, Coleraine, Northern Ireland, 3–5 September 2008; pp. 176–181.
  92. Lucas, B.D.; Kanade, T. An iterative image registration technique with an application to stereo vision. Proceedings of the Imaging Understanding Workshop, Washington, DC, USA, 6 April 1981; pp. 121–130.
  93. Camacho, P.; Coslado, F.; González, M.; Sandoval, F. Adaptive Multiresilution Imager Based on FPGAs. Proceedings of the X European Signal Processing Conference, Tampere, Finland, 5–8 September 2000.
  94. Coslado, F.J.; Camacho, P.; González, M.; Sandoval, F. Hardware Architecture for Hierarchical Segmentation in Foveal Images. Int. J. Imaging Syst. Technol. 2004, 14, 153–166. [Google Scholar]
  95. Ratha, N.K.; Karu, K.; Chen, S.Y.; Jain, A.K. Real-time matching system for large fingerprint databases. IEEE Trans. Pattern Anal. Mach. Intell. 1996, 18, 799–813. [Google Scholar]
  96. Fons, M.; Fons, F.; Cantó, E. Design of FPGA-based Hardware Accelerators for On-line Fingerprint Matcher Systems. Proceedings of the Ph.D. Research in Microelectronics and Electronics, Otranto, Italy, 12–15 June 2006; pp. 333–336.
  97. Volder, J.E. The CORDIC Trigonometric Computing Technique. IRE Trans. Electron. Comput. 1959, EC-8, 330–334. [Google Scholar]
  98. Matthies, L.; Maimone, M.; Johnson, A.; Cheng, Y.; Willson, R.; Villalpando, C.; Goldberg, S.; Huertas, A.; Stein, A.; Angelova, A. Computer Vision on Mars. Int. J. Comput. Vis. 2007, 75, 67–92. [Google Scholar]
  99. Jeong, H. Real-time Stereo Vision FPGA Chip with Low Error Rate. Proceedings of the IEEE International Conference on Multimedia and Ubiquitous Engineering, Seoul, Korea, 26–28 April 2007; pp. 751–756.
  100. Barranco, F.; Diaz, J.; Gibaldi, A.; Sabatini, S.P.; Ros, E. Vector Disparity Sensor with Vergence Control for Active Vision Systems. Sensors 2012, 12, 1771–1799. [Google Scholar]
  101. Sabatini, S.P.; Gastaldi, G.; Solari, F.; Pauwels, K.; Hulle, M.M.V.; Diaz, J.; Ros, E.; Pugeault, N.; Krger, N. A compact harmonic code for early vision based on anisotropic frequency channels. Comput. Vis. Image Underst. 2010, 114, 681–699. [Google Scholar]
  102. Gil, A.; Gutiérrez, R.; Alonso, J.L.; Ávila, S.F. Stereo Calculation of significant points using a FPGA. Proceedings of the 2004 WSEAS International Conference on Electroscience and Technology for Naval and All-electric Ship, Athens, Greece, 12–15 July 2004.
  103. Zabih, R.; Woodfill, J. Non-parametric Local Transforms for computing Visual Correspondence. Proceedings of the 3rd European Conference on Computer Vision, Stockholm, Sweden, 2–6 May 1994; pp. 150–158.
  104. Magdaleno, E.; Lüke, J.P.; Rodríguez, M.; Rodríguez-Ramos, J.M. Design of Belief Propagation Based on FPGA for the Multistereo CAFADIS Camera. Sensors 2010, 10, 9194–9210. [Google Scholar]
  105. Pérez, J.; Sánchez, P.; Martínez, M. High-Definition Belief-Propagation based Stereo Matching FPGA architecture. Proceedings of the Conference on Design of Circuits and Integrated System, Zaragoza, Spain, 18–20 November 2009.
  106. Bravo, I.; Mazo, M.; Lázaro, J.L.; Gardel, A.; Jiménez, P.; Pizarro, D. An Intelligent Architecture Based on Field Programmable Gate Arrays Designed to Detect Moving Objects by Using Principal Component Analysis. Sensors 2010, 10, 9232–9251. [Google Scholar]
  107. Bravo, I. Arquitectura Basada en FPGAs Para la Detección de Objetos en Movimiento, Utilizando Visión Computacional y Técnicas PCA. Ph.D. Thesis, Universidad de Alcalá, Madrid, Spain, July 2007. (In Spanish). [Google Scholar]
  108. Perez, C. Control de Robots Manipuladores Usando Informacioón Visual: Aplicación a la Estimación del Movimiento del Objeto. Ph.D. Thesis, Universidad Miguel Hernández, Elche, Spain, April 2008. (In Spanish). [Google Scholar]
  109. Waheed, A.; Thornberg, B.; Kumar, P. Comparison of Three Smart Camera Architectures for Real-Time Machine Vision System. Int. J. Adv. Robot. Syst. 2013, 10, 1–12. [Google Scholar]
  110. Rodríguez-Ramos, L.F.; Viera, T.; Herrera, G.; Gigante, J.V.; Gago, F.; Alonso, Á. Testing FPGAs for real-time control of adaptive optics in giant telescopes. Proceedings of the SPIE 6272, Adaptive Optics II, 62723X, Orlando, FL, USA, 24 May 2006.
  111. Rodríguez-Ramos, L.F.; Díaz, J.J.; Piqueras, J.J.; Martin, Y.; Rodríguez-Ramos, J.M. FPGA–based slope computation for ELTs adaptive optics wavefront sensors. Proceedings of the SPIE 7015, Adaptive Optics Systems, Marseille, France, 23 June 2008.
  112. Martin, Y.; Rodríguez-Ramos, L.F.; Martín, Y.; Díaz, J.J.; Piqueras, J.; García-Jiménez, J.; Rodríguez-Ramos, J.M. FPGA-based real time processing of the Plenoptic Wavefront Sensor. Proceedings of the VI Southern Programmable Logic Conference (SPL), Ipojuca, Brazil, 24–26 March 2010; pp. 87–92.
  113. Rodríguez-Ramos, J.M.; Castelló, E.M.; Conde, C.D.; Valido, M.R.; Marichal-Hernández, J.G. 2D-FFT implementation on FPGA for wavefront phase recovery from the CAFADIS camera. Proceedings of the SPIE 7015, Adaptive Optics Systems, Marseille, France, 23 June 2008.
  114. **, S.; Kim, D.; Nguyen, T.T.; Kim, D.; Kim, M.; Jeon, J.W. Design and Implementation of a Pipelined Datapath for High-Speed Face Detection Using FPGA. IEEE Trans. Ind. Inform. 2012, 8, 158–167. [Google Scholar]
  115. González, C.; Mozos, D.; Resano, J.; Plaza, A. FPGA Implementation of the N-FINDR Algorithm for Remotely Sensed Hyperspectral Image Analysis. IEEE Trans. Geosci. Remote Sens. 2012, 50, 374–388. [Google Scholar]
  116. Winter, M.E. N-FINDR: An algorithm for fast autonomous spectral end-member determination in hyperspectral data. Proceedings of the SPIE 3753, Imaging Spectrometry V, Denver, CO, USA, 18 July 1999.
  117. Gonzalez, C.; Mozos, D.; Resano, J. FPGA support for satellite computations of hyper spectral images. Proceedings of the International Conference on Field Programmable Logic and Applications, Prague, Czech Republic, 31 August–2 September 2009; pp. 715–716.
  118. González, C.; Resano, J.; Mozos, D.; Plaza, A.; Valencia, D. FPGA Implementation of the Pixel Purity Index Algorithm for Remotely Sensed Hyperspectral Image Analysis. EURASIP J. Adv. Signal Process. 2010. [Google Scholar] [CrossRef]
  119. González, C.; Sánchez, S.; Paz, A.; Resano, J.; Mozos, D.; Plaza, A. Use of FPGA or GPU-based architectures for remotely sensed hyperspectral image processing. Integr. VLSI J. 2013, 46, 89–103. [Google Scholar]
  120. González, C.; Resano, J.; Plaza, A.; Member, S.; Mozos, D. FPGA Implementation of Abundance Estimation for Spectral Unmixing of Hyperspectral Data Using the Image Space Reconstruction Algorithm. IEEE J. Sel. Top. Appl. Earth Obs. Remote Sens. 2012, 5, 248–261. [Google Scholar]
  121. Vicente, A.G.; Muñoz, I.B.; Molina, P.J.; Lázaro-Galilea, J.L. Embedded Vision Modules for Tracking and Counting People. IEEE Trans. Instrum. Meas. 2009, 58, 3004–3011. [Google Scholar]
  122. Sánchez, J.; Benet, G.; Simó, J.E. Video Sensor Architecture for Surveillance Applications. Sensors 2012, 12, 1509–1528. [Google Scholar]
  123. Magdaleno, E.; Rodríguez, M.; Ayala, A.; Díaz, I. Codiseño hardware/software de un sensor inteligente para medidas de aceleraciones sobre 3 ejes basado en picoblaze. Proceedings of the 8th Congreso de Tecnologías Aplicadas a la Enseñanza de la Electrónica, Zaragoza, Spain, 3 July 2008. (In Spanish).
  124. Sanchez, A.; Castro, A.; López, V.M.; Azcondo, F.J.; Garrido, J. Single ADC Digital PFC Controller Using Precalculated Duty Cycles. IEEE Trans. Power Electron. 2014, 29, 996–1005. [Google Scholar]
Figure 1. (a) Row partitioning; (b) Column partitioning; (c) Block partitioning.
Figure 1. (a) Row partitioning; (b) Column partitioning; (c) Block partitioning.
Sensors 14 06247f1 1024
Figure 2. Image stream.
Figure 2. Image stream.
Sensors 14 06247f2 1024
Figure 3. Scheme of a computer vision system embedded on an FPGA. Four points are tracked, and their center of gravity computed at camera frame rate frequency.
Figure 3. Scheme of a computer vision system embedded on an FPGA. Four points are tracked, and their center of gravity computed at camera frame rate frequency.
Sensors 14 06247f3 1024
Figure 4. Basic point operation on an FPGA. Input pixel luminance value is multiplied by the constant a, and then summed with the constant b. The value obtained is clipped before storing the new Q value of the pixel.
Figure 4. Basic point operation on an FPGA. Input pixel luminance value is multiplied by the constant a, and then summed with the constant b. The value obtained is clipped before storing the new Q value of the pixel.
Sensors 14 06247f4 1024
Figure 5. Image average filter on an FPGA. Different successive iterations of the image processing operation.
Figure 5. Image average filter on an FPGA. Different successive iterations of the image processing operation.
Sensors 14 06247f5 1024
Table 1. Characteristics, static power consumption and related works of the different FPGA series of **linx.
Table 1. Characteristics, static power consumption and related works of the different FPGA series of **linx.
FamilyProcess (nm)LUT SizeLogic CellsRAM (bits)Number of DSP BlocksHC-ProcessorStatic Power Consumption (mW)Related Works
Spartan-II1804432–5.3 k16 K–56 K---[18,34,35,42,45,87]
Spartan-III9041.5 k–66 k72 k–1.8 M4–104-27–336[3,4,14,19,30,35,37,41, 5153,56,62,65,66, 68,76,81,86,88,121124]
Spartan-64562 k–147 k144 K–4.8 M4–180-11–94[24,67]
Artix-728611 k–215 k720 K–13 M40–740-68–122-
Kintex-728619 k–477 k2.3 M–34 M120–1920-79–216-
Virtex22041.7 k–27 k32 K–128 K----
Virtex-E18041.7 k–73 k65 K–851 K---[2,25,26,32, 4850,55,73,74]
Virtex_II120/1504512–93 k72 K–128 K4–168--[38,39,102,107]
Virtex-II Pro90/13042.8 k–88 k216 K–7.8 M12–444PowerPC 405-[33,78,106,117119]
Virtex-490412 k–200 k648 K–9.7 M32–96PowerPC 405128–1278[64,86,110,112,113, 115,120]
Virtex-565612 k–415 k936 K–18 M32–1056PowerPC 405276–3028[47,65,80,87,104,105,111]
Virtex-640646 k–474 k5.5 M–37 M288–2016-715–4441[36]
Virtex-7286179 k–1954 k14 M–68 M700–3600-177–1250-
Table 2. Characteristics and static power consumption of the different FPGA series of Altera.
Table 2. Characteristics and static power consumption of the different FPGA series of Altera.
FamilyProcess (nm)LUT SizeLogic CellsRAM (bits)Number of DSP BlocksHC-ProcessorStatic Power Consumption (mW)
Excalibur18044 k–34 k32 K–256 K-ARM922T-
Cyclone13042.9 k–20 k58 K–288 K--48–120
Cyclone II9044.6 k–64 k117 K–1.1 M13–150-29–193
Cyclone III6545.2 k–119 k414 K–3.8 M23–288-55–150
Cyclone IV6046.3 k–150 k270 K–6.3 M15–266-60–152
Arria GX9088 k–36 k1.2 M–4.3 M10–44-405–826
Arria II GX4086 k–102 k783 K–8.3 M29–92-329–793
Stratix130410 k–79 k899 K–7 M6–22-187.5–1,395
Stratix II9086 k–72 k410 K–8.9 M12–96-323–1,435
Stratix III65819 k–135 k1.8 M–14 M27–112-404–1,255
Stratix IV40829 k–325 k6.3 M–22 M48–161-436–1,739
Stratix V288239 k–1,087 k29 M–53 M200–1,840-641–1,153

Share and Cite

MDPI and ACS Style

García, G.J.; Jara, C.A.; Pomares, J.; Alabdo, A.; Poggi, L.M.; Torres, F. A Survey on FPGA-Based Sensor Systems: Towards Intelligent and Reconfigurable Low-Power Sensors for Computer Vision, Control and Signal Processing. Sensors 2014, 14, 6247-6278. https://doi.org/10.3390/s140406247

AMA Style

García GJ, Jara CA, Pomares J, Alabdo A, Poggi LM, Torres F. A Survey on FPGA-Based Sensor Systems: Towards Intelligent and Reconfigurable Low-Power Sensors for Computer Vision, Control and Signal Processing. Sensors. 2014; 14(4):6247-6278. https://doi.org/10.3390/s140406247

Chicago/Turabian Style

García, Gabriel J., Carlos A. Jara, Jorge Pomares, Aiman Alabdo, Lucas M. Poggi, and Fernando Torres. 2014. "A Survey on FPGA-Based Sensor Systems: Towards Intelligent and Reconfigurable Low-Power Sensors for Computer Vision, Control and Signal Processing" Sensors 14, no. 4: 6247-6278. https://doi.org/10.3390/s140406247

Article Metrics

Back to TopTop